HDL Package Helps Designers Meet Price-Performance Goals

Sept. 1, 1999

Offered in two configurations-Standard and Plus-Active-HDL is an integrated VHDL and Verilog design environment that reportedly provides engineers with the best price/performance HDL solution for their applications. Standard Edition includes an advanced HDL editor, supporting VHDL and Verilog color-coding and syntax checking, automatic VHDL Testbench generation from a Design Wizard or Waveform, VHDL simulation, advanced debugging, and a waveform viewer. The Plus Edition is an integrated Windows design environment based on the Microsoft Foundation Class GUI. It includes all features in the Standard Edition, plus a state machine editor, block diagram editor, EDIF netlist simulation, waveform editor, and TCL scripting. A free evaluation version of the software package can be obtained by registering at the firm’s website.

About the Author

Staff

Articles, galleries, and recent work by members of Electronic Design's editorial staff.

Sponsored Recommendations

Comments

To join the conversation, and become an exclusive member of Electronic Design, create an account today!