Tool Characterizes Standard Cells For Statistical Timing Analyzers

Feb. 1, 2007
Statistical static timing analysis (SSTA) is gaining in popularity these days, particularly as 65-nm processes move toward maturity and designers become increasingly concerned about fab yields. SSTA helps get around the statistical pessimism of trad

Statistical static timing analysis (SSTA) is gaining in popularity these days, particularly as 65-nm processes move toward maturity and designers become increasingly concerned about fab yields. SSTA helps get around the statistical pessimism of traditional static timing analysis. It also provides for better optimization of timing, power, leakage, and yield.

But those SSTA tools from the likes of Cadence, Extreme Design Automation, Magma Design Automation, and Synopsys need statistical standard-cell models to chew on. And that's where Altos Design Automation's Variety statistical library characterizer comes in.

This tool generates variation-aware timing models for use with SSTA tools. The aforementioned tools are supported now. Other formats will be supported in future releases, including the SSTA standard format from Si2's Open Modeling Coalition.

The various "design-for-yield" schemes from IC implementation toolset vendors can optimize yields only if the tools are provided with an additional set of libraries that's optimized for yield at the expense of performance. At 45 nm, implementation tools will require statistical cell data where all process information for a given cell is included in a single view.

Variety characterizes for both systematic and random variation. The latter, which can account for more than 50% of the total variation for sub-90-nm processes, is a significant challenge to characterization runtime as it requires modeling the impact on each individual transistor within a cell.

Variety leverages what Altos calls the "inside view" approach that it developed for its Liberate non-statistical library characterizer. This technique keeps the overhead of random variation characterization to three times or less of nominal characterization.

The tool models the impact of process variation on delays, transitions, constraints (setup, hold, etc.), and pin capacitances (see the figure). It supports multiple SSTA formats without recharacterization. Further, it offers consistency between STA .lib files created by Liberate and the SSTA libraries created by Variety.

Pricing for the Variety statistical library characterizer starts at $95,000 for a one-year license.

Altos Design Automation
www.altos-da.com

Sponsored Recommendations

Near- and Far-Field Measurements

April 16, 2024
In this comprehensive application note, we delve into the methods of measuring the transmission (or reception) pattern, a key determinant of antenna gain, using a vector network...

DigiKey Factory Tomorrow Season 3: Sustainable Manufacturing

April 16, 2024
Industry 4.0 is helping manufacturers develop and integrate technologies such as AI, edge computing and connectivity for the factories of tomorrow. Learn more at DigiKey today...

Connectivity – The Backbone of Sustainable Automation

April 16, 2024
Advanced interfaces for signals, data, and electrical power are essential. They help save resources and costs when networking production equipment.

Empowered by Cutting-Edge Automation Technology: The Sustainable Journey

April 16, 2024
Advanced automation is key to efficient production and is a powerful tool for optimizing infrastructure and processes in terms of sustainability.

Comments

To join the conversation, and become an exclusive member of Electronic Design, create an account today!