Header Car 5ea0ad0b715b0

High-Level Synthesis for Autonomous Drive

April 27, 2020
Read how High-Level Synthesis accelerates algorithmic design time with a higher level of abstraction resulting in 50x less code than RTL.

Although RTL has traditionally been the starting point for digital design, it is becoming too expensive and time consuming. Algorithmic intensive hardware for AI in autonomous vehicles requires a new flow. Companies like BOSCH Visiontec, STMicroelectronics and Chips&Media have turned to a High-Level Synthesis (HLS) flow. This accelerates algorithmic design time with a higher level of abstraction resulting in 50x less code than RTL. Download this white paper to learn how designers creating autonomous drive solutions are using HLS.

Sponsored

Application Note: Motor Control Solutions for your Electric Toothbrush

The global population increasingly prioritizes oral health and hygiene, driving the utilization of toothbrushes across the world. The most popular and effective type of electric...

Power Topologies Handbook

Buy ICs, tools & software directly from TI. Request samples, enjoy faster checkout, manage orders online and more with your myTI account.

A Long-Range Solution for Triggering Analyzers with Arduino Microcontrollers

Remote measurements using VNAs are becoming an increasingly popular method due to the increased portability of USB vector network analyzers. For applications such as far-field...

Next Generation RADAR

Aerospace and Defense applications conform to the tightest standards. ADI provides you with the confidence and support to ensure your design is a success.