Siemens Leverages Aprisa IC Place-and-Route Software

July 28, 2022
Aprisa, now Siemens EDA, addresses top-level hierarchical design- and block-level implementation for complex digital ICs. The detail-route-centric architecture and hierarchical database enable fast design closure and optimal quality.

Check out our DAC 2022 coverage.

Aprisa, now Siemens EDA, addresses top-level hierarchical design- and block-level implementation for complex digital ICs. The detail-route-centric architecture and hierarchical database enable fast design closure and optimal quality in a competitive runtime. 

With the detail-route-centric architecture, efficient and frequent communication can occur between placement optimization, CTS optimization, and detail routing for improved quality-of-results, reduced iterations, and 2X faster design convergence than other solutions. We talk to Henry Y. Chang, now Sr. Director of Product Management at Siemens EDA, about this and his take on the industry.

For more DAC 2022 videos, CLICK HERE.

Sponsored Recommendations

The Importance of PCB Design in Consumer Products

April 25, 2024
Explore the importance of PCB design and how Fusion 360 can help your team react to evolving consumer demands.

PCB Design Mastery for Assembly & Fabrication

April 25, 2024
This guide explores PCB circuit board design, focusing on both Design For Assembly (DFA) and Design For Fabrication (DFab) perspectives.

What is Design Rule Checking in PCBs?

April 25, 2024
Explore the importance of Design Rule Checking (DRC) in manufacturing and how Autodesk Fusion 360 enhances the process.

Unlocking the Power of IoT Integration for Elevated PCB Designs

April 25, 2024
What does it take to add IoT into your product? What advantages does IoT have in PCB related projects? Read to find answers to your IoT design questions.

Comments

To join the conversation, and become an exclusive member of Electronic Design, create an account today!