Thermo Fisher Scientific
11myths Promo

11 Myths About Semiconductor Prototyping

March 8, 2023
Thermo Fisher’s David Akerson debunks myths surrounding semiconductor prototyping and discusses what IC developers and designers should know before the manufacturing process gets underway.

What you’ll learn:

  • How advances in semiconductor prototyping are helping optimize and create efficiencies in semiconductor chip manufacturing and development.
  • Why semiconductor prototyping is a crucial step in the end-to-end process of chip manufacturing.
  • Best practices for integrated-circuit developers and designers to consider when developing successful prototypes.

For semiconductor manufacturers, accelerating product development and time-to-market is critical, because missing a technology window, or falling behind, can cost a company billions of dollars in lost revenue. For integrated-circuit (IC) developers, semiconductor prototypes can be valuable assets for design and manufacturing optimization, validation, and software development, as well as support customer engagements.

While many semiconductor manufacturers embrace prototypes, others look for reasons to avoid prototypes to their own detriment. For the latter, this article presents and debunks 11 myths associated with semiconductor prototyping.

1. Prototyping takes too much time and effort.

Time is often cited as a reason teams avoid prototyping. Many organizations have tight budgets, and it can be hard to convince management to bake prototyping into the design process. A typical argument against prototyping is questioning why extra time should be spent on something that might be discarded. However, prototypes actually can save time.

As an example, when a physical defect occurs in early silicon, there are typically two options. The first is to theorize a physical fix and wait for the next mask spin to fully validate the fix. If it doesn’t work, it’s back to the drawing board to try again.

The second option is to utilize a circuit-editing solution, such as Thermo Scientific Centrios HX, and implement a fix on the defective part and then validate it. In this scenario, circuit editing allows the designer to rapidly prototype and validate potential “fixes,” and save time in the long run.

2. Prototyping is too expensive.

While prototyping can add cost to a program, the financial impact of not prototyping often outweighs any financial benefits of foregoing prototyping. With today’s central processing units (CPUs) and graphics processing units (GPUs) consisting of billions of transistors, it’s easy to understand that chips cost a lot of money to manufacture. If you weren’t able to test the design’s functionality before taking it into physical chip form, it would be impossible to design anything complex.

Being able to prototype your design before starting the complex “back-end” physical processes is completely fundamental to modern chip development. And it could very well reduce program costs in the long run as defects can be remedied earlier in the product development process.

3. There’s no value in prototyping when you have clear product requirements.

Defining new capabilities and features always includes a risk of failure. While the Product Requirements Document or the Marketing Requirements Document may define a feature, the reality is almost half of all project costs are attributed to rework due to inadequate requirements. By validating with a working prototype, you can demonstrate the functionality to solidify requirements for the final design and, if necessary, eliminate features that don’t work.

4. Prototypes are exclusively a design tool.

Some design teams treat prototypes exclusively as a design tool. As the IC goes through the development process from A0 and subsequent strides to get to production-qualified parts, prototypes are valuable in supporting validation, software, platform development efforts, and customer wins.

Providing prototypes to other groups also delivers additional benefits, as they can increase collaboration and facilitate information sharing of information. For the program, this can be extremely valuable in making tradeoffs and comprehending resource constraints, schedule impacts, and potential costs.

5. Prototypes need to be fully functional.

While everybody would like fully functional parts from day one, the reality is the complexity of today’s chips with billions of circuits doesn’t make this practical. To develop today’s chips, different designers typically work on individual blocks and often follow different schedules. Depending on their role in the project, they don’t regularly require full functionality from the chip.

As an example, a designer working on block A may require input from blocks B and C to verify the design but doesn’t require the chip to be fully functional. Similarly, the basic input/output system (BIOS) team may not need a fully functional device to develop a working BIOS to support the initial power on. In both instances, a prototype without full functionality can be extremely valuable.

6. Prototypes must look like the final product.

Actually, prototypes don’t need to look like the final product. For instance, early logic circuitry design takes place on static random-access memory (SRAM), which looks nothing like the final packaged device. As a second example, chip manufacturers typically release multiple models of a processor. In this instance, package size and socket differences may exist between the early engineering samples and all of the final models.

7. Software simulation and emulation eliminate the need for prototypes.

Software simulation and emulation are valuable tools in creating a “functionally accurate” implementation of the design. For all intents and purposes, the software design and the emulation act like the hardware design by producing the same outputs given the inputs as the real hardware.

However, both have a limitation as they don’t perform at the same speed of the hardware. In the case of software simulation, it’s often exponentially slower. As a result, it’s advisable to utilize a prototype to gather actual performance data to validate the design.

8. Customers won’t accept a prototype.

Customers have a financial interest in delivering products to meet selling cycles. Using consumer PCs as an example, customers have key selling periods in which they sell the bulk of their volumes. To help them in their developments, semiconductor manufacturers typically provide customers with pre-production samples that increase in functionality as the part gets closer to the production release. These “prototypes” are very important for the customer platform development efforts.

9. It’s hard to debug a working prototype.

As processors have become more complex with higher transistor counts and three-dimensional structures, debugging can be a challenge whether it’s a prototype or the final product. Fortunately, failure-analysis tools and workflows are available that support debugging both types.

These include thermal and optical fault isolation, sample prep, scanning and transition electron (S/TEM) imaging, and circuit-edit solutions that enable fault localization, root cause analysis, and even repair. Tools such as the Thermo Scientific ELITE and Meridian Systems, Helios 5 DualBeam, and Centrios Circuit Edit can help users overcome the challenges of debugging.

10. Firmware and software development require 100% functional parts.

The importance of software and firmware can’t be understated in delivering the final product and a working system. While it’s great to have 100% functionality, it’s not practical to wait until full functionality exists. For example, when a project I was involved in was behind schedule in getting to A0 silicon, prototypes were developed to enable the BIOS development. By providing the BIOS team with pre-A0 prototypes, the program was able to achieve power on, in time.

11. Prototyping isn’t necessary. I’ve done this before.

With years of experience, many design engineers have accumulated an impressive number of methods to overcome design challenges. Often, these known methods are beneficial in delivering functional devices.

However, with new architectures and processes, tried-and-true approaches may not work the first time out of the gate. When this occurs, trial and error is required to overcome new technical challenges and a prototype, or the ability to rapidly prototype is necessary to validate implementations.

Sponsored Recommendations

What are the Important Considerations when Assessing Cobot Safety?

April 16, 2024
A review of the requirements of ISO/TS 15066 and how they fit in with ISO 10218-1 and 10218-2 a consideration the complexities of collaboration.

Wire & Cable Cutting Digi-Spool® Service

April 16, 2024
Explore DigiKey’s Digi-Spool® professional cutting service for efficient and precise wire and cable management. Custom-cut to your exact specifications for a variety of cable ...

DigiKey Factory Tomorrow Season 3: Sustainable Manufacturing

April 16, 2024
Industry 4.0 is helping manufacturers develop and integrate technologies such as AI, edge computing and connectivity for the factories of tomorrow. Learn more at DigiKey today...

Connectivity – The Backbone of Sustainable Automation

April 16, 2024
Advanced interfaces for signals, data, and electrical power are essential. They help save resources and costs when networking production equipment.

Comments

To join the conversation, and become an exclusive member of Electronic Design, create an account today!