Electronicdesign 29636 Abstract 151339132 0
Electronicdesign 29636 Abstract 151339132 0
Electronicdesign 29636 Abstract 151339132 0
Electronicdesign 29636 Abstract 151339132 0
Electronicdesign 29636 Abstract 151339132 0

Find the Fastest Route to Portable Stimulus Tests with SystemVerilog (.PDF Download)

Nov. 12, 2019
Find the Fastest Route to Portable Stimulus Tests with SystemVerilog (.PDF Download)

The Portable Stimulus Specification (PSS) is all about reusing commonly used test atoms to create new scenarios more quickly. It saves us from wasting precious time recreating the same test information to verify the same functions throughout a single project or multiple projects.

Because the specification was developed to align with SystemVerilog constructs and principles, we can even extract data structures and constraints from our existing SystemVerilog environments to quickly build our stockpile of reusable test atoms.

Comments

To join the conversation, and become an exclusive member of Electronic Design, create an account today!

Related

Home

John Watson

Jan. 1, 2019
Home

John Macmichael

Jan. 1, 2019