Back To Cool School

Oct. 12, 2006
Faced with faster operating speeds and denser systems, today's designers must expand their knowledge of thermal management to keep heat levels in check.

The heat is on for designers. Whether crafting nanoscale packages or giant supercomputers, today's engineers are under increasing pressure to get the heat out of their ever-higher-octane designs. To do this, designers look to solutions that include new materials with better thermal interfaces, innovative cooling techniques, better packaging approaches, and smarter design layouts using advanced thermal-management EDA tools.

Since its inception, the electronics industry has chanted the smaller, faster, cheaper mantra. Thanks to advanced photolithographic and other manufacturing techniques, designers can continually push the limits of miniaturization. But heat remains an obstacle. As a result, new thermal-management techniques must be developed for further progress to be made.

Many efforts are under way to address the heat obstacle, including mechanical, chemical, and metallurgical technology research, as well as electronics engineering. Designers are working to improve heatsinks, fans, and liquid cooling.

Better heatsinks facilitate the flow of heat to the ambient air. Thermal vias enhance the thicknesses of package laminates and pc boards. Thicker power and ground planes are appearing on pc boards. Microchannel liquid-cooling techniques use convection and conduction methods alike. EDA software is becoming more integral to a chip's design, packaging, and placement within a larger system as well (see "Software Lends A Helping Hand" at www.electronicdesign.com, ED Online 13611).

Meanwhile, packages are using heat slugs to stay cool. Some packages feature efficient decoupling of electrical and thermal characteristics. And in addition to conduction and convection, radiation has become another option for heat removal.

One thorny problem these days involves hotspots on ever-denser chips, reducing IC reliability levels. As a result, minimizing the thermal stress of ICs at the die level is becoming critical. In advanced microprocessors and power ICs, power-dissipation levels have reached 100 W and higher, calling for sophisticated heat-management techniques.

The hotspot problem mainly exists in high-performance microprocessors, advanced graphics processors, and other high-end chips that pack the maximum performance in the smallest space. These devices are being made at very small geometries with line widths as low as 90 nm and even 65 nm. In the future, other commodity chips will be made at geometries of 45 nm and smaller and will face the same hotspot problems that we face today.

"Hotspot migration will move to center stage as one of the major design objectives in a wide variety of chips, including CPUs, graphics chips, communications devices, LEDs, and optical chips," says Jesko von Windheim, chief executive officer of Nextreme Thermal Solutions. "This problem has only started to be acknowledged, and we expect it to become more pervasive over the next year."

Designers deal with most of these hotspot problems by using large (compared to the chip they're cooling) and cumbersome cooling systems. This ultimately compromises the small size designers are looking for in a packaged chip.

MANY CONTRIBUTING FACTORS Packaging also plays an important role in heat management. The latest trend is to reduce the number and lengths of interconnects within a chip or chips on a board, solving noise and heat generation at the same time. This is particularly challenging when packaging a low-power logic chip with high-power CPUs.

One approach is the side-by-side flip-chip packaging developed by NEC Electronics America for high-speed and large-memory applications such as cell phones, digital cameras, PCs, and video games. This method enables designers to pack up to eight memory chips and one logic chip, each with die dimensions of 17.3 by 17.3 mm, into a single ball-grid-array (BGA) package.

NEC recently developed a prototype package for interchip wideband data transfers that minimizes communications latencies and heat dissipation between interconnects. Known as SMAFTI (SMArt chip connection with FeedThrough Interposer), it will be in production by next year (Fig. 1).

One liquid-loop cooling technique developed by Advanced Thermal Solutions is used in an active BGA package. A forced heat spreader combines micro and mini channels in a resin package bonded directly to the die (Fig. 2, left). Fluid flow inside the channels is 0.5 to 1 L/minute. Within the spreader is an integrated pump that's deployed inside and outside the package, depending on the application (Fig. 2, right).

Regardless of the type of package, leakage power between silicon and its interconnects is a serious problem, one that's sure to worsen as device geometries shrink. "On a typical microprocessor, the CPU core dissipates a lot of power while the cache memory dissipates less power, leading to thermal mismatches," says Robert B. Conner, VP of marketing and business development at Nextreme Thermal Solutions. "This problem is multiplied by the use of multiprocessor cores on a chip."

Nextreme recently showed off an elegant, simple, and cost-effective solution. The company's lightweight and tiny " confetti" type bismuth-telluride thermoelectric microcooler material can be attached to the back of a chip, much like a piece of adhesive tape. The embedded thin-film material doesn't make noise like a fan in a cooling system, nor does it have any moving parts (Fig. 3).

The microcooler is mounted on the back of an IC package or chip by sputtering during the back end of an IC process. Nextreme is careful to point out, though, that it's collaborating with major semiconductor IC manufacturers at the moment—the technology remains two to three years away from full-scale production.

Proper packaging of discrete power devices like MOSFETs also can go a long way when it comes to good thermal management. On International Rectifier's DirectFET package, for example, the MOSFET is mounted to a pc board with a thin filler and heatsink attached (Fig. 4).

HEATSINKS IMPROVE The tried-and-true heatsink technology that designers have been using for decades is still in use. But modern heatsinks offer superior performance, thanks to innovative structures and better materials.

"Pin fin" array heatsinks from companies like Aavid Thermally, Cool Innovations, and Thermacore feature high thermal conductivity properties. The omnidirectional configuration of the round pins and their large surface areas create turbulence inside the array to maximize air intake into the heatsink.

Thermacore produces heatsinks with folded fins and a base containing a vacuum chamber to enhance the action of heat spreading. Meanwhile, Amulaire Thermal Technology uses a flexible metal injection process to enable the development of pin fin heatsinks. In this case, the fins can be bent at any angle or formed into any pattern. The fins, which can be as small as 0.15 mm wide and 0.7 mm high with 0.15-mm spacing, make it possible to create microchannels for very precise liquid cooling.

One trend that has recently emerged is the development of phase-change materials (PCMs) with melting temperatures typically above room temperature (between 40°C and 65°C). Generally available in sheet form, PCMs change from a solid to a semi-liquid state to fill in any air gaps between a heatsink's surface and a semiconductor device. Companies like Bergquist Co. and Chomerics have such products available on the market.

HURDLES REMAIN As good as heatsinks get, they're still comparatively large and cumbersome, especially when some of them must be combined with fans, blowers, and interface substrates that adjoin package and IC surfaces to help remove heat. In fact, many thermal-management experts believe improvements in heatsink performance are incremental. They prefer to have as little heat as possible to remove, starting at the chip and package levels.

Even MEMS-based microchannel liquid cooling, such as that developed by Cooligy (now part of Emerson Electric), hasn't really taken off as expected (Fig. 5). Designers still need other cooling system components like a pump, radiator, and fan to properly remove heat. This makes thermal management for, say, a CPU more cumbersome and difficult to implement.

David Tuckerman, chief technical officer for Tessera, takes a more fundamental view of thermal management. He believes more needs to be done to minimize the heat generated from a chip and its package in the first place.

"Designers have not focused enough on minimizing heat at the chip-design level by using innovative circuit layout methods," he says. "The problem is that these designers have to deal with time-to-market pressures, and thus often have to resort to complex, costly, and inelegant cooling solutions. Heat conduction from the heat source to air becomes a limiting factor."

HIGHER-CONDUCTIVITY MATERIALS Efforts are being directed at developing materials with improved thermal-interface characteristics. A number of experts in the field believe that using the right thermal interface material properly is even more important than just selecting the material itself.

Many of these types of materials are available. KoolBond from MH&W International allows firm attachment of heatsinks to hot pc-board components. KoolBond consists of a finely woven nickel-coated copper fiber matrix with a high-strength, pressure-sensitive adhesive on the outside. It features a thermal impedance of 0.42°Kin.2/W for material thicknesses of 5 mils and 0.74°Kin.2/W for material thicknesses of 10 mils.

According to advanced thermal materials consultant Carl Zweben, combining matrices of metals, ceramics, and carbon with thermally conductive reinforcements like carbon fibers, silicon-carbide (SiC) particles, and diamond particles will enable the creation of new materials with higher thermal conductivities. He believes it will also bring about a wider range of thermal coefficient of expansion (CTE) than that which has been achieved with conventional materials (Fig. 6).

Zweben says highly oriented pyrolytic graphite (HOPG), copper-tungsten (Cu/W), ceramics, diamond-particle-reinforced metals, and carbon composites are excellent materials for heat management. Carbon fibers include reinforced carbon (C/C), carbon-fiber reinforced epoxy (C/Ep), carbon-fiber reinforced copper (C/Cu), and SiC-particle reinforced copper (SiC/Cu).

Natural graphite-based heatsinks have been used over the last few years with good thermal-management results. According to GrafTech International Inc., graphite-based heatsinks offer engineers an alternative material when aluminum can no longer meet the power-dissipation requirements of an IC design, and copper (whose thermal conductivity is twice that of aluminum) is too heavy.

Skeleton Technologies produces a diamond-particle-reinforced SiC matrix heatsink. According to the company, this formulation improves the action of heat spreading on a chip's package, leading to better thermal management.

Thermal-interface materials should transfer heat efficiently, but not transfer stress. Higher-performance materials containing high-conductivity graphite are becoming available with thermal-interface resistance values approaching 0.06Ω-cm2°C/W. This puts them in the range of soldered connections, but without the associated residual stresses from the assembly process (Fig. 7).

Jim Wilson, a senior principal mechanical engineer at Raytheon Space & Airborne Systems, together with Bruce Guenin, a principal research scientist at Sun Microsystems, have carefully studied the electronics cooling challenge. They conclude that the need to minimize thermal resistances between the coolant and the heat source is ripe for innovation.

BIGGER ROLES FOR SMALLER TECH A number of researchers are working to develop simpler MEMS-based cooling approaches. These designers are also using nanomaterials such as carbon nanotubes and thermionic wires to make heat management more cost effective, particularly at the chip and board level.

Purdue University researchers came up with a prototype MEMS micropump cooling device that's small enough to fit on a chip. Its microchannels, which circulate a coolant liquid, can be totally integrated on-chip. Pumping action is created by electrohydrodynamics, which uses the interactions of ions and electric fields to cause fluid to flow.

Earlier this year, Fujitsu announced it will use carbon nanotubes in heatsinks for high-frequency power amplifiers in next-generation communications basestations. The nanotubes replace the traditional metal bumps used in conventional "face-up" or "flip-chip" packaging that connect the amplifiers to the pc boards they're mounted on. These methods suffer from amplification inductance and are inadequate for dissipating the heat of high-power transistors.

Fujitsu's technology replaces the metal bumps with bundles of vertically oriented carbon nanotubes, grown in a proprietary process using an iron catalyst coating. Thermal conductivity levels of 1400 W/m-K have been achieved, compared to 400 W/m-K for copper material. According to the company, this results in heat-dissipation levels equal to conventional methods, but with half the inductance. In turn, it will yield at least a 2-dB increase in the amplification of 5-GHz and higher frequencies.

At NASA's Jet Propulsion Laboratory, researchers proposed cooling arrays of nanowires coated with cesium for thermionic cooling. The proposed devices could be highly miniaturized, enabling heat removal from previously inaccessible IC locations for high IC clock and power levels.

Only the highest-energy electrons are thermionically emitted in thermionic cooling. Those electrons are collected to prevent their return to the emitting electrode. Electron collection is made possible by applying an appropriate positive bias potential to another electrode placed near the emitting electrode.

Nano materials are promising for future heat management. Estimates of carbon nanotube thermal conductivity run as high as 6600 mW/m-K, and values over 3000 mW/m-K have been measured, says Carl Zweben. Yet carbon-nanotube interface characteristics need to be studied, and their application as thermal-interface materials must be practical.

Thermal-management experts agree that we're on the verge of dramatic improvements in reducing heat levels and cost-effectively removing what's left. Heat management no longer can be treated as a design afterthought. It has to be grounded in the very beginning of a device and system design and layout.

Sponsored Recommendations

TTI Transportation Resource Center

April 8, 2024
From sensors to vehicle electrification, from design to production, on-board and off-board a TTI Transportation Specialist will help you keep moving into the future. TTI has been...

Cornell Dubilier: Push EV Charging to Higher Productivity and Lower Recharge Times

April 8, 2024
Optimized for high efficiency power inverter/converter level 3 EV charging systems, CDE capacitors offer high capacitance values, low inductance (< 5 nH), high ripple current ...

TTI Hybrid & Electric Vehicles Line Card

April 8, 2024
Components for Infrastructure, Connectivity and On-board Systems TTI stocks the premier electrical components that hybrid and electric vehicle manufacturers and suppliers need...

Bourns: Automotive-Grade Components for the Rough Road Ahead

April 8, 2024
The electronics needed for transportation today is getting increasingly more demanding and sophisticated, requiring not only high quality components but those that interface well...

Comments

To join the conversation, and become an exclusive member of Electronic Design, create an account today!