EDA Must Free Designers To Focus On Differentiation

March 30, 2006
Today's IC designers are in a tough spot. Differentiation has never been more important to the success of their products. Intensely competitive markets and shrinking product life cycles place a premium on any competitive edge. In an ideal wor

Today's IC designers are in a tough spot. Differentiation has never been more important to the success of their products. Intensely competitive markets and shrinking product life cycles place a premium on any competitive edge.

In an ideal world, designers would focus on making their product superior to its competitors. But the rising complexity of IC design drives them in a different direction. With ICs often integrating digital, analog, and mixed-signal circuits, predictability in a design flow is increasingly difficult to achieve.

Traditional design processes are fragmented. Cross-domain verification is ineffective. Often, it forces designers to integrate digital and analog blocks manually. Higher levels of integration and rapid adoption of system-on-a-chip (SoC) and system (SiP) form factors create new signal-integrity issues.

As IC complexity rises, escalating data sets and long simulation runtimes complicate the modeling, extraction, and resimulation of parasitics. As a result, instead of differentiating their design, engineers spend too much of their precious time integrating their methodology, tools, and standards-based intellectual property (IP) and optimizing their design process and infrastructure.

INDIVIDUAL MARKET CHALLENGES The EDA industry often overlooks the unique challenges designers now face in each market. Not long ago, design teams grappled with fairly universal issues. Today, issues vary widely from market to market.

Designers working in personal entertainment, for instance, must struggle with the challenges inherent in SoC integration and validation. IP integration and functional verification are major obstacles to rapid and predictable product development. EDA tools and methodologies must support the unique issues these designers face in display integration, mixed-signal simulation, and the implementation of multiple standard interfaces.

The wireless networking arena offers an entirely different set of problems. There, designers must constantly trade power savings against performance. At the same time, the tight design integration that comes with compact product footprints often complicates mixed-signal simulation and hardware/ software verification.

But engineers working in the wired networking world wrestle with much different issues. Higher line rates and high-speed serial chip I/O present new dilemmas in package and board design. Hardware/software verification grows more problematic as designers try to cobble together a growing array of digital and analog functions on the same chip. Designers no longer can afford to spend large amounts of time adopting individual tool technologies or optimizing their design flow. They need tools that can help them quickly ramp up their design infrastructure and achieve more predictable results. They also need vendors who not only will offer the right technology, but will work with them side by side to understand and solve their problems as well.

This new environment requires a new approach by the EDA industry. The era of companies just offering a bundle of point tools is past. They must combine their broad knowledge of tool technology and deep expertise in application markets into comprehensive market-specific "kits" or solutions that dramatically simplify their customers' adoption of EDA technology.

THREE STEPS TO SUCCESS To implement this approach, EDA vendors must identify the specific challenges designers face in key market segments. Next, they must deliver verified design methodologies targeting the challenges in each vertical market. Those methodologies should be packaged in a comprehensive suite of tools, platform flows, and standardized IP and demonstrated on a representative reference design. Finally, vendors must map those methodologies to the customer's specific needs and deliver that capability through results-driven training and consulting services.

But this new approach cannot be limited simply to the delivery of new tools, methodologies, flows, and services. EDA vendors must assume a new role as well. They must become a true partner for their customers, someone their customers can trust to help work through their challenges, reduce design risk, and shorten time-to-productivity.

Sponsored Recommendations

Near- and Far-Field Measurements

April 16, 2024
In this comprehensive application note, we delve into the methods of measuring the transmission (or reception) pattern, a key determinant of antenna gain, using a vector network...

DigiKey Factory Tomorrow Season 3: Sustainable Manufacturing

April 16, 2024
Industry 4.0 is helping manufacturers develop and integrate technologies such as AI, edge computing and connectivity for the factories of tomorrow. Learn more at DigiKey today...

Connectivity – The Backbone of Sustainable Automation

April 16, 2024
Advanced interfaces for signals, data, and electrical power are essential. They help save resources and costs when networking production equipment.

Empowered by Cutting-Edge Automation Technology: The Sustainable Journey

April 16, 2024
Advanced automation is key to efficient production and is a powerful tool for optimizing infrastructure and processes in terms of sustainability.

Comments

To join the conversation, and become an exclusive member of Electronic Design, create an account today!