• Home >
  • Back Issues >
  • TechXchange >
  • Designing with Chiplets
  • Designing with Chiplets

    Mixing dies, interposers and designs to fabricate new solutions
    Siemens
    EDA

    Siemens Helps Understand Heat Inside 3D Chip Designs—and Out

    July 24, 2024
    The company rolled out Calibre 3D Thermal to help deliver fast and accurate die-level thermal analysis of 3D IC designs.
    Ansys
    EDA

    Ansys Adds Another Dimension to the 3D Chip Design Process

    July 15, 2024
    Learn how Ansys leverages NVIDIA’s Omniverse to unlock the future of 2.5D and 3D multi-die systems.
    EDA

    TechXchange: Designing with Chiplets

    June 27, 2024
    Mixing dies, interposers, and designs to fabricate new solutions.
    Intel
    EDA

    Chiplet Delivers On-Chip Optical Connectivity

    June 27, 2024
    Intel paired one of its CPUs with an optical compute interconnect (OCI) chiplet.
    Heterogeneous 2
    EDA

    Heterogeneous 2.5/3D Chip Design Requires Integrated Tools

    June 3, 2024
    Siemens’ Xpedition Substrate Integrator provides co-design prototyping and planning of 2.5/3D chips.

    More content from Designing with Chiplets

    Chiplets connected via the Universal Chiplet Interconnect Express
    EDA

    Demonstrating the UCIe Chiplet Interconnect

    May 25, 2024
    Cadence's UCIe demo presents key features and interoperability support, and also discusses the future of chiplet technology in high-performance SoCs.
    Eliyan
    EDA

    UMI Scales the Memory Wall in the Chiplet/Multi-Die Era

    April 22, 2024
    Discover how the Universal Memory Interface tackles substrate- and die-level real-estate challenges in chiplet-based design, unlocking performance and scalability.
    Intel
    Embedded

    Inside Intel’s Gaudi 3 Chip for AI Training and Inference

    April 11, 2024
    The company’s next-gen AI silicon adds more accelerator cores, faster networking, and extra high-bandwidth memory.
    Andrei Dzemidzenka, Dreamstime
    EDA

    Does This Chip Hold the Future of the Semiconductor Industry?

    April 3, 2024
    Learn more about Pike Creek and its potential implications as the first test chip featuring chiplets linked by the UCIe standard.
    How Chiplets Accelerate Generative AI Applications
    Machine Learning

    How Can Chiplets Accelerate Generative AI Applications?

    March 27, 2024
    This superpanel explores the role of chiplets in advancing ever-expanding generative AI technology.
    86230086 © Flynt | Dreamstime.com
    EDA

    Packaging Chiplets for Performance and Profit

    March 20, 2024
    When it comes to chiplets, it’s all about packaging technology.
    What will Chiplets Look Like in 2029?
    EDA

    Chiplets in 2029: How We Get There

    March 11, 2024
    Wrapping up the 2024 Chiplet Summit, this panel session discussed the huge advantages and persistent challenges presented by chiplets.
    Multi-Die Systems Set the Stage for Innovation
    EDA

    Multi-Die Systems Set the Stage for Innovation

    Feb. 21, 2024
    Abhijeet Chakraborty, Vice President of Engineering at Synopsys, in his keynote at the 2024 Chiplet Summit, said that last year was an inflection point for multi-die systems.
    91824901 © Forance | Dreamstime.com
    EDA

    EDA Helps Cultivate the Future of Die-to-Die Connectivity

    Feb. 8, 2024
    Explore Keysight’s Chiplet PHY Designer tool and the game-changing UCIe standard in chip design.
    NVIDIA
    alt.embedded

    Join Me at This Year’s Chiplet Summit

    Jan. 22, 2024
    The Chiplet Summit is coming up at the beginning of February. I hope to meet up with you there.
    Intel
    Embedded

    Data-Center CPU’s Dual-Chiplet Design is Intent on AI

    Dec. 14, 2023
    Intel’s latest data-center CPU is a prelude to the process and packaging innovations it has in store for 2024.
    Can Chiplets Solve Semiconductor Challenges?
    EDA

    Can Chiplets Solve Semiconductor Challenges?

    Dec. 13, 2023
    With the right investments, chiplets can help solve long-standing industry challenges such as increasing costs and supply disruptions, resulting in a world where devices are more...
    17001699 © Toniflap | Dreamstime.com
    Oa Dreamstime L 17001699
    Embedded

    7 Technologies that Will Change Everything

    Nov. 2, 2023
    Jim Handy’s crystal ball reveals trends about many topics, ranging from memory chips to generative AI.
    Maxresdefault 65303d64c15e8
    EDA

    Through the Substrate Looking Glass

    Oct. 19, 2023
    Intel is looking to use glass, which has strong thermal and mechanical characteristics, as a substrate for chiplets.
    Synopsys
    Promo Multi Die Synopsiys Web
    EDA

    Multi-Die Systems Reshape Semiconductor Innovation

    Oct. 3, 2023
    Explore the main driving forces behind today’s multi-die systems, how they’re becoming the choice system architecture, and how they’re catalyzing the next wave of semiconductor...
    AMD
    Amd Versal Promo
    Embedded

    Massive Chiplet-Based FPGA Designed to Make More Chips

    July 7, 2023
    The Versal Premium adaptive System-on-Chip (SoC) targets EDA simulation and verification applications.
    Marian_Mocanu_Dreamstime
    Promo Marian Mocanu Dreamstime
    FPGA

    Chiplet-Based FPGA Tackles CXL

    May 24, 2023
    Intel’s Agilex 7 with R-Tile support works with PCIe 5.0 and CXL.
    AMD
    3 D Hybrid Bonding
    Automation

    Chiplet Technology Helps Integrate Advanced Computing Platforms

    June 21, 2022
    AMD will utilize a range of 2.5D and 3D chiplets to integrate systems that will include the company's FPGA technology.
    zGlue
    Ucie Promo
    Embedded

    Building a Chiplet Ecosystem

    March 10, 2022
    The recently announced Universal Chiplet Interconnect Express standard, based on PCIe and CXL, will help simplify chip design.
    Chip Packaging Promo Image4 1798 X 895
    Articles

    TechXchange: Chip Packaging

    March 7, 2021
    Packaging: The new dimension of advancement in the semiconductor industry.
    Ed Promo 3
    Members Only

    Members-Only Webinar

    Feb. 15, 2021
    Chiplets – Electronic Design Automation Insights
    zGlue
    Ces Z Glue Promo
    Automation

    Building Custom Chips Just Got Easier

    Jan. 6, 2020
    zGlue’s ChipBuilder Pro lets designers create chips using its 2.5D chiplet Smart Fabric.
    Tsmc Arm Chiplets Promo
    Embedded

    TSMC Works With Arm to Chart Future of Chiplets

    Oct. 9, 2019
    TSMC Works With Arm to Chart Future of Chiplets
    Intel-Neuromorphic-system-2.jpg
    Automation

    Intel Adds New Technology to Advanced Packaging Arsenal

    July 16, 2019
    Intel Adds New Technology to Advanced Packaging Arsenal
    Intel_Manufacturing_Wafer_Promo
    Embedded

    Intel Introduces New Way to Stack Chips on Top of Each Other

    Dec. 14, 2018
    Intel Introduces New Way to Stack Chips on Top of Each Other
    The zGlue chip
    Automation

    zGlue Fabric Reduces Size of Wearable Tech

    Aug. 21, 2017
    This silicon interposer fabric lets developers integrate multiple die into a single, compact chip. It is ideal for compact form factors needed for applications like wearable devices...